STATISTICS

Viewed2403

Downloads1776

A Reconfigurable DC-DC Converter Design for Energy-harvesting System
[1]Zhou Kunyu,Yue Ningning,Qiu Keni,et al.A Reconfigurable DC-DC Converter Design for Energy-harvesting System[J].Journal of Zhengzhou University (Engineering Science),2021,42(04):70-76.[doi:10.13705/j.issn.1671-6833.2021.04.023]
Copy
References:
[1] LEE I,SOKOLSKY O.Medical cyber physical systems[C]//Proceedings of the 47th Design Automation Conference on-DAC′10.New York:ACM Press,2010:743-748.
[2] JIANG X,POLASTRE J,CULLER D.Perpetual environmentally powered sensor networks[C]//Fourth International Symposium on Information Processing in Sensor Networks,2005.Piscataway:IEEE,2005:463-468.
[3] SUDEVALAYAM S,KULKARNI P.Energy harvesting sensor nodes:survey and implications[C]//IEEE Communications Surveys &Tutorials.Piscataway:IEEE,:443-461.
[4] KANSAL A,HSU J,ZAHEDI S,et al.Power management in energy harvesting sensor networks[J].ACM transactions on embedded computing systems,2007,6(4):32.
[5] 前瞻产业研究院.2018年中国物联网行业发展现状与2019年前景预测[EB/OL].(2019-01-07)[2020-10-01].http://www.kaixian.tv/gd/2019/0107/37349_2.html.
[6] LI X Q,HEO U D,MA K S,et al.Rf-powered systems using steep-slope devices[C]//2014 IEEE 12th International New Circuits and Systems Conference.Piscataway:IEEE,2014:73-76.
[7] 黄文锋,徐珊珊,孙燚,等.基于多分辨率卷积神经网络的火焰检测[J].郑州大学学报(工学版),2019,40(5):80-84.
[8] 刘帅奇,王洁,安彦玲,等.基于CNN的非下采样剪切波域多聚焦图像融合[J].郑州大学学报(工学版),2019,40(4):36-41.
[9] XIA L X,TANG T Q,HUANGFU W Q,et al.Switched by input:power efficient structure for RRAM-based convolutional neural network[C]//2016 53rd ACM/EDAC/IEEE Design Automation Conference (DAC).Piscataway:IEEE,2016:1-6.
[10] SHAFIEE A,NAG A,MURALIMANOHAR N,et al.ISAAC:a convolutional neural network accelerator with in situ analog arithmetic in crossbars[C]//2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).Piscataway:IEEE,2016:14-26.
[11] QIU K N,JAO N,ZHAO M Y,et al.ResiRCA:a resilient energy harvesting ReRAM crossbar-based accelerator for intelligent embedded processors[C]//2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).Piscataway:IEEE,2020:315-327.
[12] MA K S,LI X Q,LIU Y P,et al.Dynamic machine learning based matching of nonvolatile processor microarchitecture to harvested energy profile[C]//2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).Piscataway:IEEE,2015:670-675.
[13] BISWAS A,SINANGIL Y,CHANDRAKASAN A P.A 28 nm FDSOI integrated reconfigurable switched-capacitor based step-up DC-DC converter with 88% peak efficiency[J].IEEE journal of solid-state circuits,2015,50(7):1540-1549.
Similar References:
Memo

-

Last Update: 2021-08-26
Copyright © 2023 Editorial Board of Journal of Zhengzhou University (Engineering Science)